modelsim環(huán)境基于vhdl語言的testbench書寫

modelsim環(huán)境基于vhdl語言的testbench書寫

ID:15402443

大?。?6.50 KB

頁數(shù):3頁

時間:2018-08-03

modelsim環(huán)境基于vhdl語言的testbench書寫_第1頁
modelsim環(huán)境基于vhdl語言的testbench書寫_第2頁
modelsim環(huán)境基于vhdl語言的testbench書寫_第3頁
資源描述:

《modelsim環(huán)境基于vhdl語言的testbench書寫》由會員上傳分享,免費在線閱讀,更多相關(guān)內(nèi)容在行業(yè)資料-天天文庫。

1、testbench顧名思義就是一個測試臺,它對外沒有接口,所以實體部分為空,但它要對要測試的器件提供激勵信號,這其實就是最簡單的testbench,以下是具體的操作步驟:1.首先基于QuartusII建立的一個新的工程,編譯通過,這其實就是我們要測試的源文件DUT(designundertest)counter.vhd.libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounterisport(clk:instd_logic;?????

2、reset:instd_logic;?????en:instd_logic;?????q:outstd_logic_vector(3downto0));endcounter;architecturebehaveofcounteris???signalq_n:std_logic_vector(3downto0);begin???process(clk,reset,en,q_n)???begin???????if(reset='1')then???????????q_n<=(others=>'0');--òì2???á????????elsi

3、frising_edge(clk)then???????????ifen='1'then???????????????q_n<=q_n+1;???????????endif;???????endif;???endprocess;???q<=q_n;endbehave;2.打開ModelSim,指定路徑為Quartus工程所在目錄;建立新的仿真工程,添加文件(DUT)。3.編譯DUT文件到仿真庫中(右鍵DUT,選擇compile).4.寫testbench文件(counter_tb.vhd)。首先選擇view-source-showlang

4、uagetemplates,然后選擇file-new-source-vhdl,雙擊creattestbench,選擇DesignUnitName為DUT文件,點擊finish,模板創(chuàng)建完成,然后右鍵取消readonly,自己添加測試信號。LIBRARYieee?;USEieee.std_logic_unsigned.all?;USEieee.std_logic_1164.all?;ENTITYcounter_tb?IS????constantClockPeriod:time:=40ns;END;?ARCHITECTUREcounter_t

5、b_archOFcounter_tbIS?componentcounteris???????port(clk:instd_logic;???????????reset:instd_logic;???????????en:instd_logic;???????????q:outstd_logic_vector(3downto0));???endcomponentcounter;???????????????signalclock,rst,en:std_logic;???signalq:std_logic_vector(3downto0);b

6、egin???CounterInstance:counterportmap(clock,rst,en,q);??????simProcess:process???begin???????rst<='1';???????waitfor50ns;???????rst<='0';???????waitfor1000ns;???????rst<='0';???endprocesssimprocess;??????en<='0'after0ns,???????'1'after50ns,???????'0'after850ns,???????'1'a

7、fter900ns;????????ClockProcess:process(clock,rst)???begin???????if(rst='1')then???????????clock<='0';???????else???????????clock<=notclockafterClockPeriod;???????endif;???endprocessClockProcess;???END;5.同樣把testbench文件編譯到仿真庫中。6.點擊simulate-startsimulation,選中design標(biāo)簽work庫下te

8、stbench文件,點擊ok。7.workspace窗口出現(xiàn)sim標(biāo)簽,右鍵testbench文件,選擇addtowave,然后點擊開始仿真按鈕即可。

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文

此文檔下載收益歸作者所有

當(dāng)前文檔最多預(yù)覽五頁,下載文檔查看全文
溫馨提示:
1. 部分包含數(shù)學(xué)公式或PPT動畫的文件,查看預(yù)覽時可能會顯示錯亂或異常,文件下載后無此問題,請放心下載。
2. 本文檔由用戶上傳,版權(quán)歸屬用戶,天天文庫負責(zé)整理代發(fā)布。如果您對本文檔版權(quán)有爭議請及時聯(lián)系客服。
3. 下載前請仔細閱讀文檔內(nèi)容,確認文檔內(nèi)容符合您的需求后進行下載,若出現(xiàn)內(nèi)容與標(biāo)題不符可向本站投訴處理。
4. 下載文檔時可能由于網(wǎng)絡(luò)波動等原因無法下載或下載錯誤,付費完成后未能成功下載的用戶請聯(lián)系客服處理。