資源描述:
《EDA技術實用教程.ppt》由會員上傳分享,免費在線閱讀,更多相關內(nèi)容在行業(yè)資料-天天文庫。
1、1EDA技術實用教程淘花/百度專用211.1EDA軟件接口流程VHDL文本編輯VHDLVHDL文本編輯仿真綜合SYNPLIFYFPGAEXPRESSFPGACOMPILERII1、行為仿真LEONARDO2、功能仿真3、時序仿真………FPGA/CPLD適配邏輯綜合器結構綜合器FPGA/CPLD器件和電路系統(tǒng)FPGA/CPLD時序與功能編程下載門級仿真圖11-1EDA淘工花程/百度接專口用流程11.2Synplify與MAX+plusII的接3口1.輸入設計工具欄菜單狀態(tài)欄圖11-2SynplifyPro啟動后界面按鈕面板項目瀏覽窗口標簽Tcl命令窗淘花/百度專用4
2、11.2Synplify與MAX+plusII的接口1.輸入設計圖11-3Synplify新建項目對話框淘花/百度專用511.2Synplify與MAX+plusII的接口3.綜合前控制設置4.綜合5.結果檢測淘花/百度專用611.2Synplify與MAX+plusII的接口圖11-4Synplify的RTL級原理圖淘花/百度專用711.2Synplify與MAX+plusII的接口淘花/百度專用圖11-5Synplify的綜合后門級電路圖8【例11-1】libraryieee;useieee.std_logic_1164.all;useieee.std_log
3、ic_unsigned.all;entitycnt4isport(d:instd_logic_vector(3downto0);ld,ce,clk,rst:instd_logic;q:outstd_logic_vector(3downto0));endcnt4;architecturebehaveofcnt4issignalcount:std_logic_vector(3downto0);beginprocess(clk,rst)beginifrst='1'thencount<=(others=>'0');elsifrising_edge(clk)thenifld
4、='1'thencount<=d;elsifce='1'thencount<=count+1;endif;endif;endprocess;q<=count;endbehave;淘花/百度專用911.2Synplify與MAX+plusII的接口6.設定EDF文件為工程。7.選定EDF文件來源。圖11-6Synplify的綜合后門級電路圖淘花/百度專用1011.2Synplify與MAX+plusII的接口8.選定目標器件9.編譯適配圖11-7Synplify與Altera接口流程淘花/百度專用1111.3Synplify與ispEXPERTCompiler的接口
5、接口步驟如下:(1)生成EDIF網(wǎng)表文件。(2)ispEXPERTCompiler設置。淘花/百度專用12(2)ispEXPERTCompiler設置。圖11-8建立淘新花/百工度程專用對話框13(2)ispEXPERTCompiler設置。圖11-9設定閱讀Synplify的EDF文件淘花/百度專用11.3Synplify與ispEXPERTCompiler的接14口(3)讀入EDIF文件。圖11-10選擇適配目標器件對話框淘花/百度專用1511.3Synplify與ispEXPERTCompiler的接口(4)選定目標器件。(5)引腳鎖定。圖11-11芯片引腳
6、鎖定對話框淘花/百度專用1611.3Synplify與ispEXPERTCompiler的接口(6)編譯適配(7)生成仿真文件。(8)編程下載。淘花/百度專用圖11-12在系統(tǒng)編程下載窗口1711.4ModelSim與MAX+plusII的接口ModelSim支持下列語言標準:IEEEVHDL’87和’93標準:IEEEStd.1076-’87&‘93。VHDLVHDL多值邏輯系統(tǒng)標準:IEEE1164-1993。VHDL標準數(shù)學程序包:IEEE1076.2-1996。淘花/百度專用18ModelSim支持下列語言標準:IEEEVerilog標準:IEEE1364
7、-’95。VerilogIEEEVerilog2001標準:IEEE1364-2001(部分支持)。OVIVerilogLRM2.0(大部分支持。OVI:OpenVerilogInternational)。PLI1.0(PLI:ProgrammingLanguageInterface)。VCD(ValueChangeDump)。Verilog淘花/百度專用19ModelSim支持下列語言標準:VITAL2.2b和VITAL’95(IEEE1076.4-1995)。VITALVITAL2000。SDF(StandardDelayFormat,標準延遲格式)SDF標準
8、:SDF1